選單

EUV光刻機裡的低調王者

因為缺芯、臺積電和地緣政治等多種因素的影響,大家對半導體產業的關注度火速提升。這也讓ASML這些原本在背後默默支援半導體產業發展的企業被“趕”到了臺前。作為全球領先的半導體裝置供應商,這家來自荷蘭的企業提供了全球晶片生產都繞不開的關鍵裝置——光刻機。尤其是在EUV光刻機方面,市場的關注度更是空前。這一方面是因為這個裝置是晶片製造工藝走向7nm以下的關鍵;另一方面,這個裝置的售價高達上億美金,且只有ASML一家能做。因此其吸引了全球那麼多的目光也情有可原。

正如很多分析人士所說,EUV光刻機真可以稱得上是晶片製造的“皇冠”。

以EUV光刻機為例,ASML技術開發副總裁Tony Yen在今年三月接受媒體採訪的時候曾經表示,EUV光刻機由超過10萬個精密零部件組成;相關報道也指出,EUV光刻機重量達180噸,體積十分龐大,需要0。125萬千瓦的電力,來維持250瓦的功率;紐約時報在之前一篇介紹ASML的文章中更是強調,EUV光刻機的運送需要使用40個集裝箱、20輛卡車和三架波音747飛機。

這樣一個龐然大物,不但需要在晶圓上做一些精度極高的工作,而且還需要保持更高的產能,從其部件構成上看,正如其名字“光刻機”所定義的一樣,“光”就成為了EUV光刻機中的重要一環。要明白這一切,就首先得從光刻機的工作原理談起。

EUV光刻機裡的低調王者

EUV光刻機的內部工作原理(來源:ASML)

從一條公式談起

正如ASML所說,光刻的本質其實是一個投影系統。光線被投射透過掩模版,成像在晶圓上,最終在晶圓上一層一層建立起復雜的電晶體。在光刻技術不斷朝著“更小”邁進的征程上。在光刻領域,有一個公式和“摩爾定律”一樣引導著行業的發展。而與摩爾定律不同的是,這一物理公式所揭示出的光學原理似乎更加難以逾越。那就是幾乎懸掛在ASML每個辦公室的瑞利判據(Rayleigh criterion)公式。

EUV光刻機裡的低調王者

瑞利判據公式(source:ASML)

如上圖所示,在瑞利公式中,K1是一個常數,取決於與晶片製造工藝有關的許多因素。按照ASML的說法,其物理極限值是 0。25。λ則代表光刻機使用光源的波長;NA則是光學器件的數值孔徑,描述了它們能夠收集光的角度範圍;CD 代表線寬,即可實現的最小特徵尺寸,大家所談的幾奈米晶片,就是為了把這個CD值做得更小。

從公式可以看到,為了讓CD變得更小,除了將K1和λ變小以外,把NA變大也是降低CD的一個選擇。據筆者瞭解,目前K1的值已經接近極限。為此行業把降低λ和提高NA作為繼續延續摩爾定律的重要發力方向。

EUV光刻機裡的低調王者

EUV產品路線圖

在NA方面,根據報道,現在ASML正在探尋從0。33往0。55推進。據semiwiki在今年三月的報道,ASML的High-NA光刻機現在已經從書面走向現實,且已經走到了構建模組和框架階段。根據他們預測,預計首批High-NA裝置(0。55NA)將於2022年下半年交付。其中EXE:5000系統可能與EXE:5200系統一起研發,原因是EXE:5200系統將於2025/2026年成為第一批High-NA生產系統。英特爾早前也表示,公司將在2025年用上High-NA光刻機,由此可以看到semiwiki的報道是合理的。

EUV光刻機裡的低調王者

ASML的產品釋出時間規劃(source:semiwiki)

來到λ方面,為了降低其數值,如下圖所示,光刻機的光源在過去多年的發展從包括g-line和i-line在內的高壓汞燈開始,歷經KrF和ArF,並在最近幾年進入到了EUV時代。對於未來,有人甚至認為光刻機的光源有望從13。5nm波長的EUV進入到波長介乎0。01nm到10nm之間的X光。

值得一提的是,為了進一步降低λ,產業界在光刻機演進的過程中,還引入了浸潤式光刻系統,讓DUV在推進晶片微縮過程中發揮了更多的作用。由此也可以體現出工程師的力量。

EUV光刻機裡的低調王者

光刻機光源的演變(Source:ASML)

從上面的描述看來,要讓CD繼續縮小,唯有繼續降低λ這條路是最容易繼續走通的。但我們回看過去多年裡的光源發展歷程。這個看起來相對簡單的路,其實也不是一路坦途。尤其是在現在火遍全球的EUV光刻方面,真可以稱得上是供應鏈共同努力的結晶。

EUV成為答案

日本知名分析師湯之上隆在2007年的時候曾以“EUV的量產機是不是不能實現呢?”為題,對當時正在面臨開發困境的EUV進行相關調查。他提供的結果顯示,在問了18名光刻相關人員後,有10位給出的回答是EUV光刻機不能實現。誠然,對這麼一個擁有如此高度複雜性的裝置來說,EUV光刻無疑是一個極高難度的挑戰。尤其是在光源和鏡頭方面,帶來的挑戰更是前所未有。

從原理上看,光刻機的工作原理,就是讓光穿過光掩模,然後透過一系列透鏡將其縮小,最終落在覆蓋有光刻膠的基板上。由於光掩模,光刻膠的某些部分被光照射,使其變硬。在製造過程中,未曝光的部分在稱為蝕刻的過程中被化學洗掉。這樣做時,存在於抗蝕劑下方的材料也被去除。因此,在去除抗蝕劑的剩餘部分後,光掩模圖案就出現在矽片中(或在施加抗蝕劑之前已沉積在矽片上的材料中)“雕刻”出來。

EUV光刻機裡的低調王者

光刻機的基本原理

正如上文所說,為了實現更小的“特徵”,光刻機的光源歷經了幾代的演變,並最終進入到了EUV時代。但其實這並不是一帆風順的。據相關介紹,在後DUV時代,有三個潛在的候選者,分別是EUV、電子束和離子束光刻。在發展幾年後,又多了157 奈米的深紫外光刻選項。不過行業參與者最後發現,ASML才是最終答案。因為為了獲得更高的解析度,你必須將離子和電子拉得更近,但這些粒子相互排斥。那就意味著您必須在提高解析度時降低電流。但這種設計帶來的反面後果是會阻礙吞吐量。這在電子束和離子束上的。

但,問題也隨之而生。

如下圖所示,在電磁波譜中,極紫外光是紫外區能量最高的部分。它的波長範圍為 100奈米到10奈米,介於 X 射線輻射(

EUV光刻機裡的低調王者

電磁波譜

綜上所述,在地球上,EUV 完全由人工來源生產。這就使得其產生了兩個明顯的挑戰:

首先,EUV 光很難以受控方式產生。只有多重電離原子內殼中的激發電子才能發射 EUV。你只會在熾熱、緻密的等離子體(例如太陽的最外層區域)中找到這些電子,而製造這些電子是一項相當艱鉅的工作。或者將自由電子透過同步加速器發射產生EUV光——這是一種巨大且極其昂貴的裝置。

其次,EUV 光很容易被空氣和其他氣體吸收。這意味著光從產生的那一刻到撞擊矽片的那一刻,都必須穿過高質量的真空。這也意味著不可能構建“EUV 鏡頭”。相反,需要使用高度複雜的曲面反射鏡。傳統的光掩模也會吸收過多的光,因此它也需要具有反射性。

換而言之,對EUV光刻機而言,光源和鏡頭部分會是最大的兩個挑戰所在。再加上其他系統,這勢必是一個浩瀚的設計工程,光靠ASML一家是完成不了。為此,荷蘭巨頭和業界多家廠商合作。如蔡司、Cymer和通快,就是ASML EUV光刻機背後鮮被提及的巨頭。

鏡頭後面的“大家”

如前所述,由於EUV光的特性,在EUV光刻機中,一個反射的鏡頭是非常重要的,也是非常複雜的,這主要因為現實世界中沒有任何材料可以在單層中反射大部分 EUV 光。然而多層則可以增強彼此的反射,於是業界探討用這種方式製作相當高效的 EUV 反射鏡來縮小和聚焦影象。而由鉬(部分反射 EUV 光)和矽(對 EUV 大部分透明)交替奈米層製成的反射鏡就成為了大家努力的方向。

不過,這樣的EUV 反射鏡的製作極其複雜,因為它們的表面需要幾乎完美光滑和乾淨,每個奈米層都需要具有精確定義的厚度。讓每個原子都需要在正確的位置,否則可能會丟失光或影象可能會變形。蔡司毫無疑問又成為了大家求助的物件。

維基百科的資料顯示,1846年,時年30歲的卡爾·蔡司在耶拿建立了一個精密光學儀器加工廠,並於1847年生產出了他的第一臺顯微鏡。1866年起,在恩斯特·阿貝和奧托·肖特的協助下,蔡司的工廠逐漸在顯微鏡領域有了較大的發展,並開始生產光學玻璃。1888年,蔡司開始涉足攝影業。同年,在恩斯特·阿貝的主持下蔡司基金會成立。1891年蔡司基金會成為蔡司工廠的唯一所有人。

回看過去一百多年的發展,蔡司始終都把光學相關器件當做公司的主攻方向,而半導體則是他們從二十世紀六十年代開始切入的賽道。自1968年第一次為為 AEG Telefunken 生產了第一個用於電路板印表機(當今晶圓步進機和掃描裝置的前身)的鏡頭以來,公司在這個市場上一直穩紮穩打。

1977年,蔡司推出了解析度為1微米的S-Planar 10/0。28透鏡,並將其用於美國公司David Mann(後來的GCA)製造的全球第一臺晶圓步進機上;1982年,蔡司生產了第一個工作波長為 365 奈米(i 線)的光學系統S-Planar 10/0。32 ,這是進入奈米世界的第一步(結構尺寸:800 奈米);1993年,蔡司推出的S-Planar 5/0。6 透鏡(工作波長:365 奈米)是半導體市場的重大突破;1998年推出的Starlith 900 則是世界上第一個批次生產的 193 奈米波長光刻光學器件,這是第一個可以實現100 奈米以下解析度的系統;2007年推出的Starlith 1900i 是第一款達到 38 奈米極限解析度的浸沒式光學器件,現在,全世界很大一部分高效能微晶片都是使用這種技術生產的;2012年,全球第一套EUV光學系統量產,蔡司又幫助半導體產業進入了一個新階段。

EUV光刻機裡的低調王者

全球第一套EUV光學系統

據蔡司集團釋出的截止到2020年9月30日的2019/2020財年的年報,公司半導體制造技術部門在統計週期內產生了18。33億歐元的收入,同比(16。34億歐元)增加了12%。半導體制造技術部門的所有戰略業務部門都為這一新的收入記錄做出了貢獻,每一個部門的收入都比上一年有所增加。其中客戶對深紫外(DUV)和EUV光刻系統的高需求也在繼續。DUV光刻系統則是該領域的主要收入驅動因素。

值得一提的是,ASML在2016年宣佈以約 11 億美元的現金收購了蔡司負責相關光學系統子公司 24。9% 的股份,同時還為聯合研發專案一次性出資約 2。44 億美元,並在未來六年(從2016年算起)內再花費 6 億美元用於資本裝置和其他需求。

由此可以看到蔡司在EUV光刻機中的重要性。

光源領域的強者

在上文的介紹中我們談到,EUV的光源是無法自然產生的,且其擁有各種各樣的特性。為此如何產生光源,就成為橫亙在開發者面前的又一個難題。在21世紀初,Xtreme Technologies等企業所推動的,基於放電等離子體的光源似乎是最有希望的。但不久之後,鐳射生產的等離子體光源(LPP:laser-produced plasma)嶄露頭角,並最終成為日本、歐洲和美國的團體都在努力的方向。

從原理上看,這種方法是使用一個非常高功率(超過30kW平均脈衝功率的鐳射數,其脈衝峰值功率可高達幾兆瓦)的鐳射脈衝,打在不斷滴下的錫珠,然後轉化成為一個發光的等離子體,發射出波長為13。5 nm的EUV光。這些光透過聚焦後,透過反射透鏡首先傳輸到光刻掩模上,然後照射到晶圓基片上。

上述的每一個步驟,都需要非常複雜的技術。例如在光源部分,就需要具體聚焦在鐳射脈衝是如何產生以及如何放大的。

首先,我們需要產生短脈衝鐳射光束作為種子光,然後讓它經過多級放大。實際上會有兩個脈衝——預脈衝和主脈衝。預脈衝首先擊中錫珠,使它變成正確的形狀;然後主脈衝將壓扁的錫珠轉化為等離子體,從而發射出珍貴的EUV光。

這裡的難點在於放大階段會不斷增加它的功率,但必須確保兩個光束在錫珠上有正確的光學效能,尤其是正確的聚焦。每束脈衝鐳射都由非常微小的、緊湊的光粒子組成,緊緊地拋向錫珠。為了正確地擊中它們的目標,它們必須在正確的瞬間到達,不能過早或過晚;否則,衝擊力將無法壓平錫珠。在最壞的情況下,第二道鐳射脈衝射出的子彈沒有擊中目標,EUV就會失敗。強調一下,以上過程要做到每秒鐘進行五萬次,為了讓鐳射束以極大的功率穩定傳輸,系統的複雜性可想而知。

一家鮮為人知的廠商——德國通快(TRUMPF)正是背後的一個重要玩家。

據介紹,他們在EUV光刻鐳射發生系統上投入了超過15年。針對EUV光刻機,TRUMPF開發了一種鐳射器,可產生40千瓦的脈衝輻射,重複率為50千赫。該鐳射器有兩個播種器和四個放大級,體積非常大,必須放置在EUV機器下面的一個獨立樓層。

EUV光刻機裡的低調王者

CO2鐳射器中的受激混合氣體發出獨特的紅光——這就是EUV光最初的來源

相關資料顯示,如上圖所示,EUV鐳射系統由大約45萬個零件組成,重約17噸。為了確保這些零件正確組裝,僅檢查標準就多達1000多條,這還不包括模組和子模組額外的預檢標準。而從種子光發生器到錫珠有500多米的光路,這對所有零部件都提出了非常苛刻的要求,尤其是系統中包含的400多個光學元器件。由此也看到通快在這方面的實力。

在通快的幫助下,總部位於聖地亞哥的Cymer成為了EUV光源系統最後的贏家。

維基百科的資料顯示,Cymer 由 Robert Akins 博士和 Richard Sandstrom 博士於 1986 年創立,他們於 1970 年代中期在加州大學聖地亞哥分校的實驗室課堂上相識。1986 年,個人計算機市場為 Akins 和 Sandstrom 將他們獨特的鐳射知識應用於半導體光刻技術打開了大門。1988 年,Cymer 推出了用於高階研發應用的第一個光源,以支援半導體光刻技術的發展。1990年,第二代光源出貨,公司發展迅速,1988年至1994年共出貨78套光源系統。

2009 年 6 月,Cymer 將世界上第一個完全整合的 LPP EUV 光刻光源運送到荷蘭 Veldhoven 的 ASML ,以整合到其 EUV 光刻機中。2012 年 10 月,荷蘭半導體裝置製造商ASML宣佈將收購 Cymer,作為其 EUV 裝置戰略的一部分。

至此,一場EUV光刻機的攻堅戰告一段落。

寫在最後

從EUV光刻機的發展,我們可以看到在聰明的人類的推動下,很多看起來似乎不可能的任務,也變成了可能。我們從中也意識到,任何一個龐大複雜的系統,都需要多個領域頂尖的供應商攜手合作,才能完成,光是靠其中的一兩家公司,是不可能讓科技繼續往前推進。

由此,我們也看到了全球供應鏈協作的重要性。